-------------------------------------------------------------------------------- import DC import Circuit import Print circuit :: ESim -> [Device] circuit z0 = (res1 1 1 0 1.0 z0) ++ (res1 2 1 2 1000.0 z0) ++ (cap1 3 2 0 1e-5 z0) ++ (res1 4 2 0 100000.0 z0) ++ (isrc1 5 1 0 10.0 z0) z = dcOP [] [] circuit k = dcTran 5.0e-4 1.0e-2 [0,0] [0,0] circuit main = opPrint z